Verilog ams wrealtrabajos

Filtro

Mis búsquedas recientes
Filtrar por:
Presupuesto
a
a
a
Tipo
Habilidades
Idiomas
    Estado del trabajo
    2,000 verilog ams wreal trabajados encontrados, precios en USD

    *SOLO HABLA HISPANA* Buscamos desarrollador/experto en Microsoft Power Platform + Microsoft Sharepoint para proyecto de Soporte AMS/Mesa de Ayuda a clientes.

    $21 / hr (Avg Bid)
    $21 / hr Oferta promedio
    10 ofertas
    Descifrado verilog Finalizado left

    A partir del codigo de cisfrado, que facilito. Implementar el codigo para descifrado. Para ello se Implementará el algoritmo de descifrado y comprobarás su funcionamiento usando el mensaje cifrado como entrada y la clave operativa (MSBF). Si la simulación es correcta, el resultado será un bloque de 64 bits a cero (u ocho bytes a cero). A continuación, descrifrarás el mensaje cifrado que faciltaré con la clave operativa asociada. Y colocarás el mensaje en claro en la caja de texto de la tarea. Se proporcionará todos los archivos, claves en privado. Se necesita para el día 2 de Noviembre, es una tarea de estudios, fácil. El tiempo estimado de trabajo es 30 min porque el codigo de cisfrado lo tengo, solo es modif...

    $28 (Avg Bid)
    $28 Oferta promedio
    2 ofertas
    Fisica aplicada en c++ Finalizado left

    Necesito un proyecto donde se aplique unos temas de física en c++ en modo grafico necesito en un entorno 3d que ya tengo diseniado sacar el centroide de los triangulos y ver como se esparce la energia dejo ams descripcion en documentos

    $160 (Avg Bid)
    $160 Oferta promedio
    2 ofertas

    Buscamos una persona para realizar las tareas de Marketing digital de nuestra solucion de software. Funciones principales: Planifica y ejecuta, muchas veces junto a agencias externas, el marketing digital de la compañia, incluyendo SEM, base de datos de marketing, correo electrónico, redes sociales y campañas de publicidad de display entre otras, pero principalmente AMS (Amazon Marketing Services) y Google Ads. Diseña la presencia en las redes sociales. Identifica las tendencias e ideas, y optimiza el gasto y el rendimiento en función de las mismas. Aporta e implementa ideas sobre nuevas y creativas estrategias de crecimiento. Colabora con el equipo interno para optimizar la experiencia del usuario. Solo personas que hablan español

    $1949 (Avg Bid)
    $1949 Oferta promedio
    16 ofertas

    Hola He comprado las fuentes de una app en themeforest : Esto es un codigo fuente completo, de hecho ya viene con sus plugins y todo...app en themeforest : Esto es un codigo fuente completo, de hecho ya viene con sus plugins y todo para que funcione contra wordpress ( mi tienda esta sorbe wordpress) lo que necesito es confgurarla, y cambair algun que otro color, ect. Apra que tenga ams parecido a la tienda, y crearme las apk para ios y android. Para mas detalles, cuando estemos en contacto Gracias

    $180 (Avg Bid)
    $180 Oferta promedio
    12 ofertas

    El objetivo general del presente proyecto consiste en la realización, verificación funcional y validación experimental de un microcontrolador sencillo basado en un subconjunto de la arquitectura del juego de instrucciones del RISC-V. El microcontrolador debe ser descrito en SystemVerilog de modo que sea sintetizable y pueda ser implementado en una FPGA Cyclone IV de Altera. Su validación experimental se realizará en el laboratorio mediante una aplicación sencilla propuesta por cada grupo que haga uso de los recursos hardware disponibles en el módulo de test. El proyecto abarca por tanto los aspectos de verificación funcional, descripción de hardware empleando SystemVerilog, implementación de un sistema digital integrado ...

    $155 (Avg Bid)
    $155 Oferta promedio
    1 ofertas

    El objetivo general del presente proyecto consiste en la realización, verificación funcional y validación experimental de un microcontrolador sencillo basado en un subconjunto de la arquitectura del juego de instrucciones del RISC-V. El microcontrolador debe ser descrito en SystemVerilog de modo que sea sintetizable y pueda ser implementado en una FPGA Cyclone IV de Altera. Su validación experimental se realizará en el laboratorio mediante una aplicación sencilla propuesta por cada grupo que haga uso de los recursos hardware disponibles en el módulo de test. El proyecto abarca por tanto los aspectos de verificación funcional, descripción de hardware empleando SystemVerilog, implementación de un sistema digital integrado ...

    $206 (Avg Bid)
    $206 Oferta promedio
    3 ofertas
    AMS - Gestor Finalizado left

    El sistema web debe recopilar datos de diversas bases de datos, 1 base de datos sql server o oracle del sistema PI ProcessBook y 1 Base de datos de SAP , mediante estas conexiones debe calcular indicadores KPI y mostrarlos en diversas interfaces web, debe tener perfiles, usuarios, roles, graficos, reportes personalizables (diario,semanal,mensual,anual, custom).

    $2365 (Avg Bid)
    $2365 Oferta promedio
    5 ofertas

    necesito transmitir datos numericos entre la fpga nexys 3 y el pc, usando protocolo uart por medio del puerto serial uart, comunicacion asincrona, el proyecto requiere que se lea un numero en binario tomado desde los switchs que trae la tarjeta y muestre el valor ingresado en form...fpga nexys 3 y el pc, usando protocolo uart por medio del puerto serial uart, comunicacion asincrona, el proyecto requiere que se lea un numero en binario tomado desde los switchs que trae la tarjeta y muestre el valor ingresado en formato decimal en el lcd 7 segmentos, adicional a eso que esta información sea transmitida via puerto uart al computador. los entregarles son el codigo hecho en verilog,( make file, archivos.v ) ademas de brindar una breve explicacion del trabajo realizado. hay un p...

    $33 / hr (Avg Bid)
    $33 / hr Oferta promedio
    5 ofertas

    Necesito para nuestro equipo de 15 ingenieros incorporar dos nuevos ingenieros con ilusión, cierta experiencia y conocimientos en VHDL/Verilog y microprocesadores. Es trabajo a tiempo completo y con estabilidad (2 años). Ubicación: Sevilla y Albacete. Uno en cada sitio.

    $19552 - $48880
    $19552 - $48880
    0 ofertas
    Python Finalizado left

    ..."simular" el sistema de inscripción de la escuela, solo que mas sencillo, una vez que introduces todos los datos y eliges ya no modificarlos, deben guardarse en una base de datos, ya sea en wammp (mysql) (disculpa si escribo mal los nombres soy un poco boba para esto de programar) o en ams; y nos comento que esto se hace creando la base de datos en host/phpmyadmin o algo asi :( y el truco o lo dificil es (segun el profesor) vincular el python con el programa ams o el wammp (es que en algunas computadoras no corrio el ams por eso opto por usar el wammp)...

    $17 (Avg Bid)
    $17 Oferta promedio
    4 ofertas

    <p><font><font>Somos Una Empresa Dedicada a la prestación de Servicios Tecnológicos y de Gestión de Proyectos y Servicios AMS, basados ??en la Calidad del Servicio, la Calidad Humana de los equipos y la Aportación de Valor Tecnológico de las Naciones Unidas Través de la Especialización y la Innovación.</font></font></p> <p><strong><font><font>ACTUALMENTE Queremos Incorporar Para Importante Proyecto en Madrid Un Analista Programador en Java JEE Con ??Mínimo 3 Años de Experiencia </font></font></strong></p> <p><strong><font><font>Conocimientos en</font></font></strong><font>&...

    $244 (Avg Bid)
    $244 Oferta promedio
    2 ofertas

    Somos una empresa dedicada a la prestación de servicios tecnológicos y de gestión de proyectos y servicios AMS, basados en la calidad del servicio, la calidad humana de los equipos y la aportación de valor tecnológico a través de la especialización y la innovación. Actualmente queremos incorporar para importante proyecto en Palma de Mallorca un Analista Programador en JEE con mínimo 3 años de experiencia y conocimientos en:? Elevada experiencia en desarrollo de software y sistemas de integración.? Experiencia en uso de frameworks AngularJS y otros.? Experiencia con WebServices y servidores de aplicaciones varios.? Experiencia en bases de datos Oracle, MySQL, PL/SQL.? Conocimientos en HTML, Javascript, etc.?...

    N/A
    N/A
    0 ofertas

    Somos una empresa dedicada a la prestación de servicios tecnológicos y de gestión de proyectos y servicios AMS, basados en la calidad del servicio, la calidad humana de los equipos y la aportación de valor tecnológico a través de la especialización y la innovación. Actualmente queremos incorporar para importante proyecto en Palma de Mallorca un Analista Sénior en JEE con mínimo 6 años de experiencia y conocimientos en:? Elevada experiencia en proyectos de transición de mantenimientos de software.? Elevada experiencia en análisis, diseño y desarrollo de software y sistemas de integración.? Experiencia en gestión de equipo de 3 ó 4 desarrolladores.? Experiencia en cap...

    N/A
    N/A
    0 ofertas
    Consultor Storage Finalizado left

    Puesto: Especialista StorageREQUISITOS Y EXPERIENCIAExperiencia: Mínima 2 años enConocimientos en controladores de discos EMC (VMAX,DMX, VNX) (deseable)Conocimientos de controladores de disco HDS (G1000, VSP, AMS) (deseable)Administración en SAN (Brocade DCX)Conocimientos de sistema operativos AIX, Solaris, HPUX y Red Hat (preferentemente)Disponibilidad de tiempoZona de trabajo: Plaza Carson (polanco)Disponibilidad para viajar a IxtlahuacaIngles: 80%-

    N/A
    N/A
    0 ofertas
    Arquitecto SAP Finalizado left

    ...perfil de Arquitecto SAP para dar servicio en importante proyecto internacional con sede central en requerido:? Excelente conocimiento de las tecnologías de SAP (comunicados, interdependencias plataforma etc.) como SAP HANA Cloud Platform (HCP), entendimiento de las plataformas subyacentes (almacenamiento, virtualización, hardware, redes, etc.) y servicios de gestión de aplicaciones (AMS). Sería valorable conocer metodología SAP Active y herramientas de implementación de SAP como ? Conocimiento de soluciones LAN y el nivel de TCP / IP y electrónica de red de CPDs.? Deseable certificación ITIL? Experiencia en procesos de consultoría pre-venta.? Idiomas:- Español: habilidades de nivel avanzado, hablado y escrito...

    N/A
    N/A
    0 ofertas

    ...cuenta las características de nuestra marca, el diseñador deberá crear un diseño web responsive manteniendo la estructura backoffice de nuestra web actual. Lo que queremos es modernizar nuestro sitio web para que tenga una navegabilidad simple, que sea atractiva, aspecto premium (nuestro producto lo es), muy limpia y atemporal.  Nuestras referencias a nivel de estilo podrían ser Ermenegildo Zegna, Ams Pure, Marie Saint Pierre, Alexander Wang... La web tendrà la siguiente estructura, a partir de HOME (3 idiomas)<ul><li>Collection </li></ul><ol><li>PV</li><li>IN</li></ol><ul><li>Lookbook</li></ul><ol><li>PV</li><li>IN<...

    $513 (Avg Bid)
    $513 Oferta promedio
    8 ofertas

    se necesita servicio de email marketing para promedio diario de 50.000 mails, con ip rotativo, sobre interprise, or ams, con dns rotativo y un buen smtp, con garantia de que no sea baneado, ojala en servidores offshore. presupuesto 100-137 dolares(usd) mensuales,seran pagados mes a mes, para ver la calidad del servicio need, mailer+smtp+ip rotating during 1 month over interprise or ams montly value: 100-137 usd

    $152 (Avg Bid)
    $152 Oferta promedio
    3 ofertas

    se necesita servicio de email marketing para promedio diario de 50.000 mails, con ip rotativo, sobre interprise, or ams, con dns rotativo y un buen smtp, con garantia de que no sea baneado, ojala en servidores offshore. presupuesto 100-137 dolares(usd) mensuales,seran pagados mes a mes, para ver la calidad del servicio need, mailer+smtp+ip rotating during 1 month over interprise or ams montly value: 100-137 usd

    $126 (Avg Bid)
    $126 Oferta promedio
    3 ofertas

    ...plantilla en word press para una empresa de impresion digital, la cual tendrá unas galerias internas  de los servicios segun especificaciones que se expresaran al entegran al informacion de la web, envio link de la plantilla que se escogio para adaptar:  Ya se tiene ltodo para que se lo ams rapido posible segun con lo que se quiere....

    $172 (Avg Bid)
    $172 Oferta promedio
    11 ofertas

    I'm in need of a Verilog expert proficient with Quartus Prime Toolchain. Key Requirements: - Professional with Verilog: Need someone experienced in designing digital circuits and implementing specific functionalities using Verilog. - Proficiency with Quartus Prime: Familiarity with the Quartus Prime Toolchain is a must. I need to design, simulate, implement and test a digital circuit using the Quartus Prime toolchain as per the specifications I will provide and demonstrate the workflow when using the Verilog HDL to construct a design for a physical Field Programmable Gate Array (FPGA) target. Please apply if you have the required expertise. No teams or companies please.

    $111 (Avg Bid)
    $111 Oferta promedio
    10 ofertas

    We are looking for an experienced freelancer to create a custom DMA firmware using this simple guide : The guide provides detailed instructions, but I lack the time to complete it myself. The project will be executed on a Squirrel 35t board and should not take more than 3-4 hours for s...complete it myself. The project will be executed on a Squirrel 35t board and should not take more than 3-4 hours for someone proficient. **Tasks:** - Configure and customize firmware based on pcileech-fpga - Use Vivado for development - Emulate TLP and configure the configuration space **Required Skills:** - FPGA design and programming - Experience with Vivado (Xilinx) - DMA firmware development - Verilog/VHDL programming - Debugging and testing embedded systems

    $212 (Avg Bid)
    $212 Oferta promedio
    9 ofertas

    I am looking for an experienced Verilog developer who can work on my Verilo HDL project. Design a digital circuit for a fruit sorter based on following specification. Develop the block diagram (consists of datapath and control units) and the ASMD chart. Assume that there is a 1-bit RESET signal to reset the circuit and it is asynchronous and active low. In addition, there is a 1-bit CLOCK as the clock. The circuit will start the operation when a 1-bit input signal START is asserted. A fruit detector provides a 1-bit input FRUIT that becomes 1 for one clock cycle if banana is detected and the FRUIT signal will be 1 for two clock cycles if orange is detected. There are 2 different outputs which are OUT1 and OUT2 that will be 1 for one clock cycle for the type of frui...

    $70 (Avg Bid)
    $70 Oferta promedio
    1 ofertas

    ...into Verilog and run on FPGA device using HLS Vitis. The existing project has: - Edge detection capabilities - Image segmentation capabilities The primary goal of this project is not to enhance or alter the images, but to convert the existing codebase from C++ to Verilog, utilizing HLS Vitis. With your expertise: - Maintain the integrity of the current functionalities during conversion - Reframe the C++ code to Verilog language ensuring a seamless running on an FPGA device. The successful bidder should have significant experience with Verilog, C++, and HLS Vitis, as well as a good understanding of Image Processing algorithms, especially Edge Detection and Image Segmentation. The final output of the conversion should result in an image file product. The d...

    $381 (Avg Bid)
    $381 Oferta promedio
    12 ofertas

    Greetings, We are assembling a dynamic team and currently seeking 4-5 proficient Electrical Engineers to join us for a long-term collaboration. This opportunity is ideal for individuals with expertise in electronics, power systems, and communication systems. Key Requirements: - Strong command over MATLAB for data analysis, simulation, and modeling. - Proficiency in VHDL and Verilog for hardware description and digital circuit design. - Experience with multisim or similar simulation software for circuit analysis and design verification. This collaboration offers an exciting chance to work on diverse projects spanning electronics, power systems, and communication systems. We are committed to fostering a collaborative environment that encourages innovation and professional growth. ...

    $11 / hr (Avg Bid)
    $11 / hr Oferta promedio
    15 ofertas

    The goal of this project is using Vivado tools to enable a hardware implementation on an FPGA board. The key requirement from the FPGA board is high computational speed. Therefore, proficiency in Verilog language is preferred as I intend to implement the NTT algorithm. I am looking for a developer who is experienced with FPGA boards and Vivado tools. The chosen freelancer should also have the ability to maximize computing capabilities of the board for the said implementation.

    $311 (Avg Bid)
    $311 Oferta promedio
    6 ofertas

    I need verilog code,testbench and simulation for this duty : Design a vector processing system that performs dot product of two vectors kept in the memory. The length of the vector is given as an input and at each clock cycle one element from each vector is multiplied and added. At the end of the processing a valid signal will be raised along with the result. Elements of the vectors are 8-bit unsigned vectors.

    $116 (Avg Bid)
    $116 Oferta promedio
    11 ofertas

    I need a talented RTL designer, proficient in Verilog, to carry out an NTT Implementation project focused on dataflow modeling. Key Requirements: - Expertise in Verilog, with a deep understanding and application of dataflow modeling - Prior experience in RTL design and synthesis - The main goal for this task is to achieve optimization of the design using your Verilog expertise - Attention to detail, punctuality, and efficient communication skills are a must This project offers an opportunity to work with an interesting model and explore optimized NTT implementation. Your contribution to this project will be influential in achieving an optimized design.

    $167 (Avg Bid)
    $167 Oferta promedio
    3 ofertas

    Im working on a c++ image processing project , and i need to convert my C++ code to Verilog using HLS vitis , then implement it to run on Ultra96v2 Xilinx FPGA board .

    $471 (Avg Bid)
    $471 Oferta promedio
    16 ofertas

    I am seeking a skilled graphic designer to conceptualize a text-based / image-based logo for my company that emphasizes a professional appeal. I own a supply chain company. AMS Global Logistics or AMSGL. This company is global in nature and supplier services of the following: Import / Export Customs Clearance Container Transport Warehousing Key requirements: - 2 set of logos - white background and black background - Use primarily green color for the logo, signifying freshness and growth - The logo should project a professional image, suitable for a corporate environment - I prefer a text-based logo / Imaged-Based or a combination of both - creativity in typesetting, fonts or typography will be appreciated To succeed in this, you should have prior experience in logo design, color...

    $335 (Avg Bid)
    Destacado Garantizado Sellado Concurso principal
    $335
    1954 participaciones

    I'm in need of skilled programmers to develop interfaces for my Place and Route EDA flows. The ideal candidate will have experience in the following: - Proficiency in Python and/or C++ - Familiarity with VHDL, Verilog, and SystemVerilog - Experience in file input generation - Strong file parsing capabilities - Ability to manage EDA flows using TCL The interfaces need to be able to handle the entire EDA flow, from file input generation to error reporting. Experience in developing similar interfaces will be a big advantage. Please include relevant work samples in your bid.

    $26 / hr (Avg Bid)
    $26 / hr Oferta promedio
    29 ofertas
    10000 Finalizado left

    Stepper motor controller in FPGA which generates pulses according to command. verilog code

    $120 (Avg Bid)
    $120 Oferta promedio
    1 ofertas

    I'm seeking an experienced trainer for Spyglass tool, with a concentration on Lint and CDC (Clock Domain Crossing). As beginners in Spyglass and proficient in Verilog, we primarily aim to identify and fix coding errors through this training. Ideal Skills and Experience: - Strong knowledge of Lint and CDC in Spyglass tool - Demonstrated experience in coding and debugging in Verilog - Excellent training skills - Ability to create and simplify complex concepts for beginners.

    $11 / hr (Avg Bid)
    $11 / hr Oferta promedio
    5 ofertas

    ...programmer, who possesses proficiency in both Verilog and Vivado, to construct and operate a user-friendly program for my FPGA board. The selected FPGA board is from the Xilinx Artix-7 family (part: xc7a100tcsg324-1). The program’s main responsibility will be to feature a rudimentary vending machine program with the following specifications: - Two component spaces which will each hold a distinct item. - A simplified interface featuring two push buttons as part of a keypad. - A capable card reader to handle seamless payment processing. - A clear 3 digit display that relays instructions and alerts to the user. An ideal candidate for this project should have extensive experience working with Artix-7 FPGA boards and demonstrate a clear understanding of Verilog and V...

    $180 (Avg Bid)
    $180 Oferta promedio
    12 ofertas

    ...programmer, who possesses proficiency in both Verilog and Vivado, to construct and operate a user-friendly program for my FPGA board. The selected FPGA board is from the Xilinx Artix-7 family (part: xc7a100tcsg324-1). The program’s main responsibility will be to feature a rudimentary vending machine program with the following specifications: - Two component spaces which will each hold a distinct item. - A simplified interface featuring two push buttons as part of a keypad. - A capable card reader to handle seamless payment processing. - A clear 3 digit display that relays instructions and alerts to the user. An ideal candidate for this project should have extensive experience working with Artix-7 FPGA boards and demonstrate a clear understanding of Verilog and V...

    $97 (Avg Bid)
    $97 Oferta promedio
    4 ofertas

    I'm seeking an expert in website design to create a platform that caters to the needs of an NFP Industry Associatio...in website design to create a platform that caters to the needs of an NFP Industry Association. The primary function will be e-commerce, with the following key attributes: - Integration potential with 3rd-party Association Management Systems (AMS), particularly Membership Works, while leaving room for options that are still TBD. - Sound grasp of Membership management functionality, this is crucial for our system. - Integration of an Event calendar and a Resource library. The ideal person for this project should have proven experience in AMS integration, e-commerce web design, and in implementing the requested features. Familiarity with NFP asso...

    $767 (Avg Bid)
    $767 Oferta promedio
    134 ofertas

    I am in need of a seasoned FPGA programmer, proficient in Verilog and Vivado, who can build and run a program for me on a ZYNQ 7000 FPGA board. Our primary goal is: - To work on a program that performs Homomorphic Encryption Algorithm, by analysing its architecture - You'll need to identify the blocks responsible for addition and multiplication operations, as well as enumerate all IO used for these operations. Ideal candidate should have: - Extensive experience in conveying complex FPGA architectures in an understandable form - Proficiency in using Vivado for hardware simulation

    $17 / hr (Avg Bid)
    $17 / hr Oferta promedio
    11 ofertas

    Using Bambu AMS printer and am looking to have a football field built. I need a field with 2 goal posts and the lines. In bambu slicer i can color blocks so goal is to click lines white then each grass segment and end zones varyong shades of green. File will be printed then placed inside stadium as a finished product Just like attached photo but with the yard lines drawn so they can be "painted" in bambu studio. More details: Football field. Not the stadium just the field. What dimensions do you want for the football field model? Standard dimensions (120 yards x 53.3 yards) What level of detail do you require for the field, such as textures and grass? Basic detail.

    $132 (Avg Bid)
    $132 Oferta promedio
    46 ofertas

    I'm seeking a skilled FPGA developer to construct an intermediate-level chessAI project. The AI is expected to run real-time on a Spartan-7 FPGA board, using Vivado and Vitis. Key Project Details: - **Real-time Performance:** The AI should be optimised for real-time operation on the FPGA board. - **Intermediate Complexity:** The chessAI should be capable of intermediate-level game play, providing engaging and challenging performance. - **FPGA Model:** The project is designed for a Spartan-7 FPGA board, hence prior experience with this model is preferable. Key Skill Requirements: - Proficiency in FPGA development, particularly with Vivado and Vitis. - Prior experience in designing chessAI or comparable AI projects. - Expertise in optimising AI models for real-time FPGA implementation...

    $166 (Avg Bid)
    $166 Oferta promedio
    7 ofertas

    DEADLINE 21st I need an Object Detection(displays text on screen of object name) & Live Streaming system(records video when switch or button pressed), all to be implemented on a Zybo Z7 board with a pcam 5c camera module. Here are the details: - **Programming Language**: The system needs to be developed using verilog and xlinx tools. - **Standalone or Integrated**: I'm looking for the Object Detection & Live Streaming system to be integrated with zyboz7 and pcam5c. - **Functionality**: The system should perform real-time object detection and identification, as well as record and store live streams for later analysis. Finally report that includes tests/testbenches should be included based on requirements in

    $217 (Avg Bid)
    $217 Oferta promedio
    10 ofertas

    Looking for someone to create designs for mining workshop teams. photos attached of jumpers designed last year, wanting same type of designs but for different workshops, [DIGGERS, ANCILLARY, TRUCKS, DRILLS, TYRE BAY, SUPPORT, FAB/WELD SHOP AND AMS] Large designs on rear of jumpers/shirts and small logo for front .

    $24 (Avg Bid)
    $24 Oferta promedio
    21 ofertas

    I'm looking for a developer to create a system for my Zybo Z7 board that can detect people in real-time through a connected pcam5c camera and display the detection text on the video feed...Video Streaming: The video feed should be streamed in real-time. - Text Overlay: The detection results should be displayed as a text overlay on the video. Skills/Experience Required: - Proficient in Xilinx SDK and Xilinx Vivado. - Strong background in object detection, particularly with people. - Previous experience with video processing and streaming. - Knowledge of FPGA programming and VHDL/Verilog is a plus. Please note that my budget for this project is $60. I'm open to hearing from freelancers who can deliver within this budget. I have worked on single pixel (multipixel zoom.v i...

    $60 (Avg Bid)
    $60 Oferta promedio
    2 ofertas

    I am looking for a freelancer to help me with a project that involves evaluating image quality with implementing machine learning algorithms on an FPGA. VIVADO would be preferred to work on. I am seeking a detailed project proposal from freelancers. with Verilog coding Ideal skills/experience: VERILOG VIVADO

    $44 (Avg Bid)
    $44 Oferta promedio
    5 ofertas

    My project requires the efficient application of Gaussian filtering in Verilog specifically for enhancing image details. The image type for this task is RGB, and the intended result should lead to clear, detailed images showcasing the potential of Gaussian filters. Key requirements include: - Applying Gaussian filtering to provide image enhancement - Working specifically with RGB images - Delivery of processed images in JPEG format Given the technical nature of this project, proficiency in Verilog and image processing is crucial. A deep understanding of Gaussian filtering algorithms is also necessary. Experience with image manipulation software would be a bonus. This project is ideal for freelancers who are detail-oriented and are adept at transforming complex requirements...

    $86 (Avg Bid)
    $86 Oferta promedio
    4 ofertas

    I'm seeking an experienced and detail-oriented developer to create a Custome PCILeech firmware for SCREAMER PCIE SQUIRREL direct access memory card utilizing the 7 Series FPGA 35t chip. Firmware must...Squirrel. Firmware must bypass and avoid anti-cheat detection on EAC/BE etc. Responsibilities: - Develop firmware for PCILeech FPGA - Debugging and problem-solving throughout firmware development Skills & Experience: - Strong experience in FPGA programming and firmware development - Excellent debugging and problem-solving skills - Experience with high-speed data transmission - Proficiency with VHDL/Verilog languages The timeline for project completion is flexible, indicating a strong emphasis on quality over speed. However, I am eager to commence with the right candidate a...

    $544 (Avg Bid)
    $544 Oferta promedio
    29 ofertas

    For this project, I need a skilled Verilog programmer with FPGA implementation experience. The key task is to encode a 4x4 binary (black and white) image into an 8x8 image using least significant bit replacement. Key Responsibilities: - Implementing a least significant bit replacement algorithm. - Delivering clean and efficient Verilog code. - Ensuring compatibility with FPGA hardware. Required Skills and Experience: - Proficiency in Verilog code - Understanding of LSB replacement - Experience with FPGA implementation - Working knowledge of image processing, specifically with binary images.

    $14 (Avg Bid)
    $14 Oferta promedio
    5 ofertas

    I am looking for a skilled Verilog coder with experience in advanced digital circuit design and implementation. Tasks will involve designing and implementing complex circuits, specifically those involving CPUs or intricate state machines. Key Responsibilities: - Design and implement advanced digital circuits - Test and debug created designs - Maintain documentation of design process and circuit function Skills & Experience: - Expertise in Verilog coding - Experience with complex digital circuit design and implementation - Familiarity with CPUs and complex state machines - Proficiency in using Xilinx Vivado for running Verilog simulations Please ensure you have this experience before placing a bid on this project.

    $131 (Avg Bid)
    $131 Oferta promedio
    8 ofertas

    I'm in need of an experienced and creative 3D modeller to design and create a killdozer inspired keychain model as well as a larger, more detailed version. - The keychain should be small, between 2-3 inches full ams in 1 go no assembly. - I'm looking for medium complexity in the larger model, with locking pieces together and minial supports so as no glue needed to assemble - Both designs should be printable with minimal support and feature three colors: silver, yellow and black. There are many publicly available models if you type in killdozer but i need them edited/new one made to be able to mass produce, minimal supports, no super thin wall parts. I dont mind gluing if very minimal if you cant find a way to interlock parts The ideal freelancer for this task sho...

    $93 (Avg Bid)
    $93 Oferta promedio
    23 ofertas

    Completing an intermediate-level circuit simulation is on the top of my agenda, and time is of the essence. Key Requirements: - Generate a simulation circuit using either Verilog or VHDL. - The complexity level should be intermediate, meaning that it should include components such as adders, decoders, and multiplexers. Ideal Candidate: An experienced freelancer with a strong background in circuitry and simulation languages such as Verilog or VHDL. Quick response and comprehension of task requirements are paramount due to the urgency of the project. Remember, the successful completion of this project is deemed urgent. Therefore, a prompt response and start are appreciated.

    $92 (Avg Bid)
    $92 Oferta promedio
    22 ofertas

    I'm in need of an individual skilled in Verilog who can help me achieve a specific task. - Task: Your main responsibility would be writing Verilog code for a simple module implementation. This does not involve complex system level code designs or CPU architecture. - Objective: The primary objective of the module is to model a specific digital logic circuit. The project does not require interaction with other modules or utilization of specific hardware components. The ideal candidate would possess: - Solid experience in Verilog coding, - Expertise in digital circuits, - Strong understanding of digital logic circuits, - A meticulous approach to ensure accuracy in modeling the required digital logic circuit. If you are passionate about Verilog and love c...

    $108 (Avg Bid)
    $108 Oferta promedio
    13 ofertas