Verilog expert needed

Cerrado Publicado hace 4 años Pagado a la entrega
Cerrado Pagado a la entrega

verilog expert needed to build a FSM.

Verilog / VHDL FPGA Ingeniería eléctrica

Nº del proyecto: #24546591

Sobre el proyecto

15 propuestas Proyecto remoto Activo hace 3 años

15 freelancers están ofertando un promedio de $23 por este trabajo

Lightcanon

I am Digital Electronics engineer and a Teaching Assistant. I master VHDL/Verilog very well (+5 years exp) and this is my current career. Thus, I can help you the best. Example of digital design projects I finished: (F Más

$30 USD en 1 día
(51 comentarios)
5.6
olegkaravaev84

Hi! I am a Verilog expert with a great experience in digital hardware design. I will help you. Best regard, Oleg Karavaev

$30 USD en 1 día
(10 comentarios)
3.9
manishkj116

hi, i have the desired skill set to describe digital circuit using verilog hardware description language. please go through my profile wherein i have listed my skills and expertise in digital VLSI design. i would like Más

$30 USD en 2 días
(10 comentarios)
3.7
asimahsan1990

I have over 2 years of experience with FPGA Development. The code I write will the easy to understand and efficient with LUT.

$15 USD en 2 días
(0 comentarios)
0.0
qammarabbas313

Dear Client, I am an Electronics Engineer. Your project caught my eye earlier today. I strongly feel that the expertise required for the successful accomplishment of the project closely matches to my skill set as ment Más

$30 USD en 4 días
(0 comentarios)
0.0
saadwarriach

Hi, I am a Design Engineer with a demonstrated experience in hardware design and verification using Verilog/System Verilog. I have designed a lot of state machines using Verilog, the latest was of a branch prediction Más

$15 USD en 2 días
(0 comentarios)
0.0
vvgulyaev

Hi, I have over 10 year experince in harware development, verification and prototyping using FPGA. Now i have a free time and can do you project.

$15 USD en 7 días
(0 comentarios)
0.0
maheshj9666

I'm passionate in my work. I have a training experience in RTL design with verilog. Relevant Skills and Experience I have a great knowledge in digital circuit design concepts along with RTL design using verilog.

$20 USD en 3 días
(0 comentarios)
0.0
Herve2020

Je possède une expertise de plus de 10 ans en vérilog. J'ai programmé plusieurs FSM avec succès sur FPGA. Les outils comme Modelsim et Vivado permettent de valider le projet avant sa consigne. Relevant Skills and Expe Más

$35 USD en 5 días
(0 comentarios)
0.0
TwilightPics

Experience includes, complete front-end flow including SoC and module level RTL, Synthesis, timing constraints and timing closure, formal verification, clock domain crossing, low power static checks using conformal low Más

$20 USD en 7 días
(0 comentarios)
0.0
aimanedouch96

Hello sir , im a verilog expert , made many FSM projects on verilog and vhdl , contact me please for more details, be safe

$20 USD en 1 día
(0 comentarios)
0.0
Rushikar

Hello, I have completed my bachelor degree in Electronics and Telecommunication also I have done course in VLSI Design and Verification also I have better knowledge of designing FSM in Verilog Language. I have set of s Más

$20 USD en 7 días
(0 comentarios)
0.0
rs13650

I am doing my masters in vlsi design so I posses the knowledge to help you in this work. I am new to this site but I can assure you that I will do my best to complete the task. Relevant Skills and Experience - I know Más

$10 USD en 2 días
(0 comentarios)
0.0
u0sama

Hey I am ME in Electronics Engineering, can do your task related to verilog, I have experience of it.

$20 USD en 7 días
(0 comentarios)
0.0